TransWikia.com

Can propagation delays be simulated in Active-HDL?

Electrical Engineering Asked by Gacekky1 on February 10, 2021

I’m using the Lattice Diamond licensed version of Aldec Active-HDL. The logic circuits I’m working with are complex enough that I believe propagation delays could have an impact on the actual function of the hardware.

Is there a way to enable a propagation delay in simulation? Or a generic Verilog way of introducing a delay like this?

One Answer

Yes. In Verilog you use the # operator to introduce delays in simulation. This is well documented on many web sites.

Answered by Elliot Alderson on February 10, 2021

Add your own answers!

Ask a Question

Get help from others!

© 2024 TransWikia.com. All rights reserved. Sites we Love: PCI Database, UKBizDB, Menu Kuliner, Sharing RPP